下载手机汽配人

题目9:数据分配器的VHDL描述(数据输入端一个,输出端8个)

提问者:网友 2018-07-19
最佳回答
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY select1_8 IS PORT(Q:IN STD_LOGIC_VECTOR(3 DOWNTO 0); sel: IN STD_LOGIC_VECTOR(2 DOWNTO 0); D0,D1,D2,D3,D4,D5,D6,D7:OUT STD_LOGIC_VECTOR(3 DOWNTO 0)); END select1_8; ARCHITECTURE abc OF select1_8 IS BEGIN PROCESS(sel) BEGIN CASE sel IS WHEN "000"=> D0<=Q; WHEN "001"=> D1<=Q; WHEN "010" => D2<=Q; WHEN "011"=> D3<=Q; WHEN "100"=> D4<=Q; WHEN "101" => D5<=Q; WHEN "110"=> D6<=Q; WHEN OTHERS=> D7<=Q; END CASE; END PROCESS; END abc;
回答者:网友
产品精选
搜索问答
还没有汽配人账号?立即注册

我要提问

汽配限时折扣

本页是网友提供的关于“题目9:数据分配器的VHDL描述(数据输入端一个,输出端8个)”的解答,仅供您参考,汽配人网不保证该解答的准确性。